新闻  |   论坛  |   博客  |   在线研讨会
FPGA开源系列(1)------PPM基带系统设计之调制部分
mjei | 2010-06-02 22:10:22    阅读:2718   发布文章

       PPM的基本原理就是将码元信息表示在一个帧时间段内的某个时隙上,若一个码元由n比特组成,该帧时间段含M个长度为t的时隙,则2^n=M,通常帧时间段还包含一个保护时间间隔Tp ,则系统的比特率为n/(M*t+Tp)。在此就不作赘述,学术界有很多论文讲到它在通信中的优点。
       在本设计中,为简化起见,不考虑保护间隔Tp,并设定M=4。首先,PPM基带系统分为调制和解调两部分,本文主要阐释调制部分的具体模块,解调部分会在后续系列中。
       对于调制部分,可划分为串/并转换、四分频器、比较器、窄脉冲形成器、整形等5个模块。其中,串/并转换模块主要完成对输入比特流的二进制编码;四分频用于生成帧时间段内的4个时隙;比较器完成对上述两模块输出的高低对应位的匹配比较;窄脉冲形成器用于对比较器的输出做成型滤波,限制PPM脉冲的带宽,从而减小该PPM脉冲对相邻频带内的信号造成干扰;整形电路仅用D触发器实现,主要功能是锁存PPM窄脉冲,与时钟同步,从而避免竞争冒险现象。
      由于功能不是很复杂,省略了在ModelSim上做前仿真的环节,直接在QuartusII上做综合后的时序仿真,效果还可以,文末压缩文件中附有各个模块的Verilog源代码,PPM调制部分的顶层原理图以及后仿时序图,请网友们不吝赐教。

temp.rar

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
关注电子工程的技术发展和未来趋势;关注经济、金融的发展,关注管理、投资的方法与实践;关注创业路上的酸甜苦辣和经验教训;继续发展自己的业余爱好;培养自身的综合素质,实现自我价值与人生目标!
最近文章
凄美凋谢的郁金香
2010-08-02 10:55:37
向加冕发起冲击吧
2010-07-11 17:31:18
荷兰来到了半决赛
2010-07-06 21:25:15
推荐文章
最近访客